• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 141건

이용한 사례.(NEXT21) <그림 4-3> 해체가 용이한 너트식 조립구조교체가 용이한 배관시스템 <그림 4-4> 이중바닥방식의 도입사례 <그림 4-5> 각 세대의 천장을 이용한 세대내 배관의 예 <그림 5-1> 건축물 수명 <그림 5-2> 건축허가 면적 추이
  • 페이지 78페이지
  • 가격 9,900원
  • 발행일 2008.10.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
. 우리나라 스포츠 산업의 활성화 방안 1. 스포츠 마케팅 산업의 활성화 2. 스포츠 관련 법적, 제도적 지원 강화 3. 스포츠 산업의 정보관리시스템의 발전 4. 스포츠이벤트 유치 및 활용 5. 프로스포츠의 활성화 Ⅴ. 결론 <참고문헌>
  • 페이지 23페이지
  • 가격 3,500원
  • 발행일 2012.04.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
System], Richard Irwin Inc., 1979, chapter1. 9. Gregory Paul & Stuart Rovert, [Comparative Economic System], Houghton Mifflin Com., Boston, 1989. 10. Parkin Machael, [Economics], Addition-Wesley Pub. co., 1990 11. Kohler Heinz, [Comparative Economic System], Scott and Foresman Com., London, 1989.&n
  • 페이지 19페이지
  • 가격 10,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
and Marlys Mc Pherson, \"The Development of The Private Sector of the Criminal Justice System\" , Law and society Revies Vol6. No2, ·Louis A. Radelet, David L. Carter, 「The police And The Community」, New York : Macmillan College Publishing Company ·Herman Goldstein, 「Problem-Oriented Policing」,
  • 페이지 33페이지
  • 가격 1,500원
  • 발행일 2014.05.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Systems:The Cases of Spain and Russia,” Vidmar, N.(ed.), World Jury Systems, Oxford:Oxford University Press, 2000, 윤영철, '엘리트 재판'의 개혁 「민주적 사법개혁의 길(5)」(2006. 10. 04) 국민지지 동력 ‘배심·참심제’ 탄력..「국민사법참여」 한겨레신문(2005.6. 30)
  • 페이지 11페이지
  • 가격 2,300원
  • 발행일 2008.06.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
and Transitions』, Center for Systemic Peace, 1800-2007. Rawls, John, 『A Theory of Justice. Revised edition』, Cambridge: Belknap Press, 118~123page, 1999. Ⅰ. 서론 …………………………………………………………………………………………… 4 1. 문제제기 …………
  • 페이지 21페이지
  • 가격 5,000원
  • 발행일 2012.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
zational Analysis, 3(1), 10-23. Marsick, V.J., & Watkins, K.E. (1999). Facilitating Learning Organizations. Gower, Hampshire, England. Marquardt, M.J. (1996). Building the learning organization: A system approach to quantum improvement and global success. New York: McGraw-Hill. Moorman, C., Deshpa
  • 페이지 104페이지
  • 가격 20,000원
  • 발행일 2010.02.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
and C.W.Towns : Asia Display '98, 225 (1998) [7] Liu Limin, Zoran Salcic, Li Dong, "FPGA Hardware Devices with Single -Instruction Driving For An Embeded Mobil Computing Platform." Proceedings. 4th International Conference on ASIC, 2001 Page(s): 514 -517 [8] Yong-Sun Na, Oh-Kyong Kwon, "A single chi
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
and examine this algorithm through simulation. Keywords : VOR, vestibulo-ocular reflex, vision sensing, encoder, servo motor. 1. 서 론 1.1 연구배경 1.2 연구목적 1.3 연구내용 2. 본 문 2.1 시스템 개괄 2.1.1 System Block Diagram 2.1.2 System Specification 2.2 하드웨어에 대한 분
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시스템적 접근을 시도하였다. 부가적으로 이러한 병원의 서비스 품질 특성과 서비스 성과간의 관계에 있어서 성, 연령, 그리고 병원 등의 특성에 따라서 차이가 있는지를 살펴보았다. 끝으로 이러한 연구결과를 토대로 서비스 품질 특성과 성
  • 페이지 68페이지
  • 가격 2,500원
  • 발행일 2010.01.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top